Find Jobs
Hire Freelancers

Send data from PC to FPGA. Verilog FPGA UART FTDI

€8-30 EUR

Cancelled
Posted about 8 years ago

€8-30 EUR

Paid on delivery
Hello everyone, I have a FPGA board connected to my PC through USB. This FPGA has a FTDI chip. I need to send data from Matlab to the FPGA and output this data to a pin, in order to see the data in a oscilloscope. The code must be written in Verilog.
Project ID: 9626432

About the project

6 proposals
Remote project
Active 8 yrs ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
6 freelancers are bidding on average €102 EUR for this job
User Avatar
We have a team of expert and we can help you in doing your project such as writing, technical writing, Engineering, PCB designing, FPGA, Verilog /VHDL, MATLAB, Mathematics, Calculus, SPSS, Statistic, CUDA, OpenGL, Pattern recognition, Image processing, signal processing, C++/C programming, ETC. We are ready for hiring right now thanks.
€222 EUR in 10 days
4.4 (6 reviews)
3.8
3.8
User Avatar
Hi, I can create the program to send data from computer to the board via USB interface (virtual COM actually) but I can't help you with the FPGA programming. I think only for Spartan 3e board I can use Labview to control the FPGA. Regards, Nicolae
€30 EUR in 3 days
5.0 (1 review)
0.8
0.8
User Avatar
Hello what FPGA/board are you using? Is there a microprocessor in the FPGA? What troughput do you need? I have experience with FPGA and PC<>FPGA communication over RS232/Ethernet. Regards Ondrej
€222 EUR in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
A proposal has not yet been provided.
€200 EUR in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
I understood your project quite well... The FTDI chip which is in FPGA board is for programming... For getting the data from MATLAB you need serial communication via a RS232 cable or a wireless Xigbee module and connect it to GPIO pins of FPGA... I can do your job in the given time....Please let me know which FPGA board you are using.. Thanks..
€19 EUR in 3 days
0.0 (0 reviews)
0.0
0.0
User Avatar
Hi! I have done this job in one of my project but I send data to PC but the procedure will be the same. Please explain your project a little more so I can complete you task in time. Thankyou. Regards, Hamza Mughal, Electrical Engineer
€29 EUR in 5 days
0.0 (0 reviews)
0.0
0.0
User Avatar
hi, I designed various uart interface vith verilog. I used spartan 3e board. so I want to help to you.
€111 EUR in 3 days
0.0 (0 reviews)
0.0
0.0

About the client

Flag of SPAIN
Porto, Spain
5.0
4
Payment method verified
Member since Dec 8, 2013

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.