Find Jobs
Hire Freelancers

Verilog fpga

$15-25 USD / hour

Closed
Posted 9 months ago

$15-25 USD / hour

I am looking for an experienced Verilog FPGA specialist to develop a reliable and efficient code for me. I do not have a specific functionality in mind, but I do need the code to have a clock frequency of less than 100MHz and fewer than 10 inputs and outputs. I would also like to ensure that the code is reliable and bug-free. If you have the expertise and skill set to deliver a high-quality solution, please contact me. I look forward to hearing from you!
Project ID: 37091539

About the project

19 proposals
Remote project
Active 8 mos ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
19 freelancers are bidding on average $27 USD/hour for this job
User Avatar
Dear sir I have more than 10 years experience in digital design using verilog, i have done over 500 verilog projects here, please check my profile also please message me so that we can discuss
$20 USD in 40 days
4.9 (508 reviews)
8.1
8.1
User Avatar
Dear customer, I am really happy to help you this project. I would like to introduce that I am an freelancer with 10 years experience in FPGA/VHDL/Verilog and C/C++. There is some of my project I finish: - I finish the FPGA Ethereum miner in U50c card, with the fastest speed in the world 62Mhash at about 150W. - I work in other coin miner like Ergo, Vercoin, Bitcoin, Bitcore... - I have some years experience in freelancer.com with about more than 200 projects finished. (Here is my profile: https://www.freelancer.com/u/ducdctoandh) There is skills that I have - RTL coding skill/Software skill - Timing closure improve skill(the fastest frequency for FPGA I did is 700Mhz) - I did work for 100G TCP/IP, HBM/DRAM control, petelinux toolchain... Also, I am very good in English (IELTS 6.0) and I have several year of researching so I can fully understand your requirement and understand fully about the papers and write the academic report. Please contact me and let me know if you want any special requirement and do with lower price. Thank you.
$25 USD in 10 days
4.9 (94 reviews)
6.9
6.9
User Avatar
i am an electrical engineer and fpga expert and please consider me for your job for best results thanks
$20 USD in 40 days
5.0 (22 reviews)
4.7
4.7
User Avatar
Good afternoon, thank you for your post, I am a graduated electronics Engineer specializing in high-speed PCB design and programmable digital device applications. I have been programming FPGAs using Verilog HDL for 4 years now, both using Altera's Quartus and Xilinx's Vivado tools, I am sure I have the skills needed to take on this project. As a professional Verilog and VHDL programmer, I am well aware of all the important things needed to be taken into account when programming FPGAs, such as adequate modularization, clearness, efficiency and good documentation. Furthermore, I am very used to simulating the code using the tools provided by the manufacturer, such as the simulator and the logic analyzer, so I can provide simulations of the code working and help in debugging any issue that may arise. Please send me a message and let's discuss your project, I look forward to your contact, Thank you in advance,
$16 USD in 40 days
5.0 (10 reviews)
4.8
4.8
User Avatar
I am FPGA expert with more than 8 years of experience in developing various digital systems on FPGA using Verilog/VHDL. I will be able to develop good efficient verilog code for you after mutually agreeing for the same. Lets start the project as soon as possible after discussing requirements thoroughly through messages
$23 USD in 40 days
4.7 (15 reviews)
4.9
4.9
User Avatar
Hello! My name is Dervis and I'm an experienced Verilog FPGA specialist. After studying the requirements of your project, I realized that I am the perfect person for this job. With over 15 years of experience in the field of electronics, I am confident that I can deliver a reliable and efficient code for you that meets your needs regarding clock frequency and number of inputs and outputs. Additionally, my expertise includes working on industrial automation projects such as wastewater treatment plants and water treatment plants as well as system software development for Siemens appliances. This makes me an ideal candidate for this project as I have the knowledge necessary to ensure that it is done correctly from start to finish. If you're interested in discussing further how I can help you with your code requirement, please don't hesitate to reach out!
$20 USD in 40 days
4.6 (10 reviews)
4.8
4.8
User Avatar
Hello I have many experiences working for 4+ years with Circuit design, Sensors & Embedded systems developments. I have experience practical and theoretical in implementation different FPGA programs I do design controller, analysis closed loop stability, signal and systems, signal processing, heuristic optimization, fuzzy logic, neural network and machine/deep learning fields works If you hire me, I can start to work for your job immediately. Please open message box for me so we can discuss the details Thanks
$18 USD in 48 days
5.0 (3 reviews)
4.0
4.0
User Avatar
Hi Greetings I have read your requirements of clock frequency and number of io pins for verilog design. I can deliver the reliable and bug free code with necessary documentation that match your specification. I will develop the code for particular FPGA that specified by you. Kindly share your specification on chat.
$20 USD in 40 days
5.0 (17 reviews)
3.8
3.8
User Avatar
Valuable client I'm an experienced Verilog FPGA specialist ready to develop efficient and reliable code tailored to your needs. I'll ensure a clock frequency below 100MHz and fewer than 10 inputs/outputs. My track record guarantees bug-free and dependable solutions. Let's discuss your project further!
$20 USD in 40 days
4.8 (11 reviews)
3.8
3.8
User Avatar
I have 12 years of professional experience in ASIC/FPGA RTL Design, Formal Verification and Constrained Random Functional Verification. - RTL development using VHDL/Verilog/SpinalHDL, knowledge of SERDES, AXI4 based FPGA logic design, Formal Verification, Assertions. - RTL development of USB2.0 Soft PHY IP. - RTL development of USB2.0 to Peripherals (I2S, SPI, I2C, UART) - Verification of filters and DSP based generic libraries in MATLAB/Simulink. - Development of Systemverilog/UVM environment from scratch. - Verification architecture development and testplan writing. - Implementation of reusable Universal Verification Components (UVC) and VIP. - Debugging simulation and regression failures. - Code coverage and Functional coverage analysis and closure. - MIPI, C-PHY, D-PHY, USB2.0, DDR2, AXI4, AXI4 Stream protocols. - FW Tests implementation in C to verify processor based subsystems. - Translating C++ Model of VESA DSC (Display Stream Compression) Encoder and Decoder into RTL logic design using SpinalHDL/Verilog. - UVM RAL (Register Abstraction Layer) implementation and integration. - RTL implementation of AXI4 Stream based Blake2S Cryptographic hash algorithm implementation and timing closure.
$25 USD in 40 days
5.0 (4 reviews)
3.6
3.6
User Avatar
Hi, I'm looking for reliable customer who would be able to pay bills on weekly basis. Not sure yet how many time I'd work on the project, but I expect it will not be more than 20 hours. I would also like to ensure that money transfer will be without any delays and amount will be at least as we agreed upon. If you have expertise in payment transfering, please contact me. I'm looking forward for futhure cooperation!
$150 USD in 40 days
5.0 (2 reviews)
2.9
2.9
User Avatar
Hello My name is Mohamed, I'm a hardware digital design engineer, I have experience in FPGA Flow and ASIC Flow. Also I'm experienced in RTL using Verilog/VHDL and i have experience in functional verification using system verilog and UVM. Additionally , i will build a complete environment to test the design from any bugs and write a descriptive document to you. You can check my resume and my github for more details. Looking forward to the opportunity of working together on this project. Best regards,
$15 USD in 40 days
5.0 (1 review)
1.0
1.0
User Avatar
Hello, I have solid knowledge in many electronics field I have done some projects with FPGA and I will happy to work with you. Tarik
$25 USD in 5 days
0.0 (0 reviews)
0.0
0.0
User Avatar
I am very much interested to help you through the project which you mentioned. I am good at verilog, fpga
$15 USD in 40 days
0.0 (0 reviews)
0.0
0.0
User Avatar
Hello, this is Yi from United States and I mainly have worked with ECE for the last 8 years. I also have a very good understanding with FPGA.I will be detail oriented and dedicated. Looking forward to hearing back from you soon! Thank you! Yi
$25 USD in 40 days
0.0 (0 reviews)
0.0
0.0

About the client

Flag of UNITED STATES
Corvallis, United States
5.0
2
Payment method verified
Member since May 2, 2023

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.