Verilog ams jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 verilog ams jobs found, pricing in USD

    We are a wholesale of aluminium machinery, specifically selling to the door and window industry. We import, sell and service the machines we bring in. We are currently rebranding from Luna Machinery to Aluminium Machinery Solutions.  Writing job Writers produce articles, reports, books and other texts. Writers are paid to write a variety of texts, including books and articles. Depending on the type of work, they can be either employed or freelance. An advertising copywriter , for example, is a type of writer who produces the text for adverts.

    $16 / hr (Avg Bid)
    $16 / hr Avg Bid
    28 bids

    ...standard 4-20mA inputs. The DD/EDDL/FDI file types of HART device vendors shall be supported. PACTWARE compatible & multiple stackable HATS. The use of pins of RPi must be approved by us. you may use existing dev kits from analog devices, ST, Microchip etc., but ensure the ready availability of main components & get our approval. Please adhere to current standards and all commands, support various AMS systems. Provide a test client in MS Visual Studio also. based on your advice etc. More details about the existing system will be shared with shortlisted persons. This may extend to additional help from you for development, testing etc. also. Submit your questions with your 1st response. All IP will be owned by us. Submit all details, design, gerber files, source code, su...

    $1125 (Avg Bid)
    $1125 Avg Bid
    2 bids

    About Aluminium Machinery Solutions: Wholesaler who sells and services aluminium machinery. Currently rebranding from Luna Machinery to AMS: Aluminium Machinery Solutions. Project Description: We are looking for a modern, minimalist logo design. We want people to be able to tell what we do just by looking at the logo. Perhaps incorporate an aluminium profile or a circular saw blade, into the logo without it being over-the-top.

    $231 (Avg Bid)
    $231 Avg Bid
    95 bids

    About AMS; Aluminium Machinery Solutions: We are a wholesale of aluminium machinery, specifically selling to the door and window industry. We import, sell and service the machines we bring in. We are currently rebranding from Luna Machinery to Aluminium Machinery Solutions. Project Description: We are looking for a modern, minimalist logo design. We want people to be able to tell what we do just by looking at the logo. Perhaps incorporate an aluminium profile or a circular saw blade, into the logo without it being over-the-top.

    $137 (Avg Bid)
    $137 Avg Bid
    64 bids

    I need someone to pull all the product numbers from each pdf and placed it into excel. Each pdf should be noted in 1 column of excel Column names: Mott, Kewaunee, Hamilton, AMS product numbers shown should match on each column. example excel attached need to fill in the rest.

    $22 (Avg Bid)
    $22 Avg Bid
    28 bids

    Europe, Italy timezone preferred. Lead the activity for porting FPGA design to Silicon technology (memory replacement, ...) Carry on simulations of the updated RTL design to check that the functionality remains unchanged Execute static and formal verification of RTL code using appropriate tools Run trial synthesis on the RTL design and check the timing violations Lead the act...tools Run trial synthesis on the RTL design and check the timing violations Lead the activities for SoC sub-block Static Timing Analysis. Required Skills (expert): VHDL language Digital ASIC design flow Use of digital simulations with standard industry simulators (Mentor QuestaCore) Static and formal RTL verification (e.g. Synopsys Spyglass) Synthesis tools (e.g. Cadence Genus) UVM and System Verilog test...

    $20 - $40 / hr
    Sealed NDA
    $20 - $40 / hr
    8 bids

    Smart law firm, expansion of I need someone able to cover the entire project (Logo, Visual ID and website), with a "western" taste. 2 weeks timeframe

    $19 - $38 / hr
    Featured Sealed
    $19 - $38 / hr
    31 bids

    Hi, can you help me out to code Verilog coding for the sound detection sensor for turning on the LED on DE1 SoC Cyclone V board?

    $25 (Avg Bid)
    $25 Avg Bid
    18 bids

    Hi Ahmed K., I noticed your profile and would like to ask for help with debugging a verilog project. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Avg Bid
    1 bids

    Hi Sardar Hasnain A., I noticed your profile and would like to ask for help debugging a verilog project on vivado. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Avg Bid
    1 bids

    we are looking for azure function developer who can write couple of functions to pull contents from AMS and also provide a URL to access the contents of AMS. this URL will directly execute the contents.

    $581 (Avg Bid)
    $581 Avg Bid
    38 bids

    Current requirement for SP/CDP modules Job description Senior SuccessFactors SP/CDP modules consultant for greenfield (SAP best practices) implementation project Requirements: 1. Minimum 2-5 years of relevant and strong experience in SAP SuccessFactors - Succession Planning module and Career Development Planning (CDP) in Greenfield implementation, Rollout and AMS projects 2. Any Graduate/Post Graduate eligible 3. SAP SuccessFactors 2H delta/1H delta Active certifications in Succession Planning and Career Development Planning 4. Deep knowledge of the two modules with the ability to understand the competency library structure for the client 5. Good understanding of the Performance and Goals process 6. Good understanding of the common integration points between SP/CDP and other Su...

    $12 / hr (Avg Bid)
    $12 / hr Avg Bid
    2 bids

    Turbo codes are error correction codes that are widely used in communication codes exhibits high error correction capability as compared with other error correction codes. This paper proposes a Very Large Scale Integration (VLSI) architecture for the implementation of Turbo decoder. Soft-in-soft out decoders, interleavers and deinterleavers is used in the decoder side which employs Maximum-a-Posteriori (MAP) algorithm. The number of iterations required to decode the information bits being transmitted is reduced by the use of MAP algorithm. For the encoder part, this paper uses a system which contains two Recursive convolutional encoders along with pseudorandom interleaver in encoder Turbo encoding and decoding is done using Octave, Xilinx Vivado, Cadence system is implemented and synthe...

    $106 (Avg Bid)
    $106 Avg Bid
    4 bids

    I want someone who understands FPGA, Vivado, Verilog, VHDL etc for a report

    $73 (Avg Bid)
    $73 Avg Bid
    6 bids

    I want a tool that send request every 2seconds to get the appointment from this website, whenever there is a available appointment

    $159 (Avg Bid)
    $159 Avg Bid
    31 bids

    Hi, How are you doing. I am looking for electrical engineers to work on multiple tasks in following areas: • Embedded C Programming. • VHDL/Verilog, LABView/ Multisim/PSPICE • Network Simulator NS2/NS3 • Microcontroller like Arduino, Raspberry Pi, FPGA, AVR, PIC and STM32. • IDEs like Keil MDK V5, ATmel studio and MPLab XC8. • PLCs / SCADA • PCB Designing-Proteus, Eagle. • IOT Technologies like Ethernet, GSM GPRS. • HTTP Restful APIs connection for IOT Communications. I am looking for long term work relationship. New freelancers are warmly welcomed. Important Note: I need dedicated freelancers who strictly follow the deadline and give me good quality work without any plagiarism.

    $149 (Avg Bid)
    $149 Avg Bid
    42 bids

    - Experience in SAP S4 HANA with GL,AP,AR,AA and Banking - Worked on FI-MM and FI-SD integration modules and knowledge on solutio...foundation of RTR-FI/CO integration with other modules like SD,MM,PP, PS - Experience on customization objects for RTR FI or a driving passion for understanding and exploring the same - Should have knowledge of AMS type project ways of working - Solid Knowledge of Result Analysis, Product costing, COPA, Material Ledger - Worked on all MTS,MTO,ETO and ETS scenarios in SAP CO - Solid foundation of RTR-FI/CO integration with other modules like SD,MM,PP, PS - Experience on customization objects for RTR CO or a driving passion for understanding and exploring the same - Should have knowledge of AMS type project ways of working - 10+ dedicated...

    $36 / hr (Avg Bid)
    $36 / hr Avg Bid
    15 bids

    Need to covert 1800 sq ft building with 3 offices to retail store

    $447 (Avg Bid)
    $447 Avg Bid
    8 bids

    Hi Moaz Khaled Feriz K., I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $54 (Avg Bid)
    $54 Avg Bid
    1 bids

    Hi Mehboob, you created a logo for my business and I was very happy with it. I have decided to change the name of the business however and would like for you to work on the project. It is a very simple project and all that has to be done is changing the name on the logo from AMS Marketing to AMS Solutions. The logo itself is perfect all I need done is a name change. Thank you !

    $10 (Avg Bid)
    $10 Avg Bid
    1 bids

    ...generator, where scanline parameters are passed as input wires : scanline color, scanline thickness, scanline interval 5. output is overlayed by the bitmap OSD with the same resolution as output format Requirements : aside from the DDR memory interface, or PLL no vendor or encrypted IP blocks can be used, for example no Altera/Intel video pipeline. Everything must be in written verilog source code. Must include verilog testbench that will accept input picture(in any format) and produce resulting picture(in any format). suggested pipeline i/o ports: Sysclk, [23:0] RGBin, HSin,VSin,DEin, Clkin [23:0] RGBout, HSout, VSout, DEout, Clkout [31:0] parameters[0:...] (whatever count is required). all needed memory interfaces signals to the memory multiplexer. Any dev board an...

    $2000 (Avg Bid)
    NDA
    $2000 Avg Bid
    1 bids

    ...create a verilog code for image dehazing. The image is converted into a text file using Matlab(all pixel values are converted into corresponding hexadecimal values). This text file is given as the input to the verilog program. First we need to find the minimum of RGB value of each pixel and create a matrix. Then we need to consider a small window/mask in the new matrix and find the minimum of that mask and sweep the mask through the entire new matrix to create the darkchannel image. Then by using the equations using the darkchannel prior algorithm we need to recreate a haze free image. (the output of the verilog code will be a text file and is recreated into an image using Matlab. I am attaching a reference paper. I just need to get the basic dehazing part fro...

    $106 (Avg Bid)
    $106 Avg Bid
    6 bids

    I need code for my bot who follow the given line using line sensor. this code should be written in verilog language and fpga cyclone 4 is used as board.

    $14 (Avg Bid)
    $14 Avg Bid
    4 bids

    dark channel prior basically computes the minimum of rgb values present in a single pixel and assigns that value to the pixels. Once that is done, a patch of pixels is taken and the minimum is taken after which all the pixels in that patch are assigned the new minimum value. The input is a hex file of coloumn form and output is another hex file

    $88 (Avg Bid)
    $88 Avg Bid
    4 bids

    I am a verification engineer in Bangalore India, and preparing for Top Semiconductor Companies Interview Process like INTEL, NVIDIA , GOOGLE , Qualcomm, NXP Semiconductors , SAMSUNG and many more etc. So I am looking for a verification expert ...showcase me your skills . So that after gaining knowledge with your help I can crack any company interviews . I want all types of problem solving questions to be covered including puzzles as well . Kindly ping me here if you help me out with above . Kindly provide all types of possible questions which a company can ask in a interview , I need a kind of Question Bank. Mandatory Skills : Verilog , System Verilog, UVM , Functional Coverage , Code Coverage , Assertions , Constraints , Digital Electronics and FSM problem Solving questi...

    $2427 (Avg Bid)
    $2427 Avg Bid
    1 bids

    Project Stucture Academic Management System Structure Backend – AMS App - Key Features User Management ï‚· Manage Management/Super Administrator/Administrator logins ï‚· No limit to the number of (staff) user accounts 3 Manage ï‚· Student Registration ï‚· Create Courses ï‚· Courses Wise Assign Class Batch Quiz Manage ï‚· Class Batch Wise Create Quizzes ï‚· Create Graded Quizzes ï‚· Time Limit ï‚· Time To Complete The Quiz ï‚· Question Group ï‚· Add Questions ï‚· Add Picture, Video, Audio ï‚· Feedback and Branching ( Correct Score, Incorrect Score, Limit Time To Answer The Question ) ï‚· Quiz Results Send Via e-mail Students ( Passed, Failed ) ï‚· Passing Requirements ( Scoring in Percent, Points) ï‚· Quiz Assign Class Batch Wise Students AMS (Android App) ï‚· Student Registration Manage ï‚· Payment Ma...

    $982 (Avg Bid)
    $982 Avg Bid
    56 bids

    Two tasks based on verilog, serial adder and RTL for APB based protocol. More information will be shared later. Deadline - 2days[maximum] Price - 75AUD

    $79 (Avg Bid)
    $79 Avg Bid
    2 bids

    Im working on a Simon Says project that needs to be implemented on a Xillinx FPGA. It alsof includes memory, FSM

    $39 (Avg Bid)
    $39 Avg Bid
    10 bids

    Im working on a Simon Says project that needs to be implemented on a Xillinx FPGA. It alsof includes memory, FSM

    $37 (Avg Bid)
    $37 Avg Bid
    3 bids

    Create a C# WebService project for following functions in S3 compatible storage Uploading, Downloading and Deleting a File to a bucket / folder - Obtaining a list of files to a bucket/folder - Get Metadata of files - Adding / Updating Metadata of files - Creating a new Bucket/Folder - Lazy load file - Fetch Public Link files / URL Endpoint being used: Login credentials will be provided during Chat. Please contact if you have experience with the requirement.

    $29 (Avg Bid)
    $29 Avg Bid
    6 bids

    The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. Since 1987, VHDL has been standardized by the Ins...of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. Since 1987, VHDL has been standardized by the Institute of Electrical and Electronics Engineers (IEEE) as IEEE Std 1076; the latest version of which is IEEE Std 1076-2019. To model analog and mixed-signal systems, an IEEE-standardized HDL based on VHDL called VHDL-AMS (officially IEEE 1076.1) has been ...

    $20 (Avg Bid)
    $20 Avg Bid
    4 bids

    1) Frequency divider by - / 2n - / by any integer 2) Serial Peripheral Interface (SPI) - Both master and slave 3) UART TX/RX - Asynchronous serial communication - Start bit, Stop bit, over sampling etc. - Exercise on cross-clock domain synchronizer What to submit - RTL code (.v) with inline comment - Test bench (.v) with inline comment - Timing diagram (gtkwave) with annotation - Rough description of the corresponding circuit Quick turnaround needed

    $34 (Avg Bid)
    $34 Avg Bid
    6 bids

    Need a System Verilog Expert for digital logic circuit design

    $16 (Avg Bid)
    $16 Avg Bid
    8 bids

    Multicycle Processor Controller

    $555 (Avg Bid)
    $555 Avg Bid
    20 bids

    We need to program a FPGA board using verilog code with XNOR, Multiply, shiftleft and add modules that can run on the board using different switches. When we compile the code there are no errors but when we try to put the code onto the board it is showing only zeros so we think that there is something wrong with the XNOR, Mul, shiftleft or ADD modules. I will attach all codes and the manual for the project below, thanks.

    $49 (Avg Bid)
    $49 Avg Bid
    11 bids

    Hi Moaz Khaled Feriz K., I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $55 (Avg Bid)
    $55 Avg Bid
    1 bids

    The entire description of the project is in the file below Circuit modeling in

    $188 (Avg Bid)
    $188 Avg Bid
    6 bids
    $156 Avg Bid
    4 bids

    I am developing some software but the menu needs the headings rewriting to be different to what they are now. Example View Messages – maybe change to view mail Last AMS Message – maybe change to Last Message Send Message - – maybe change to Send Mail. These are all in the left toolbar They must look different. Example View Messages – maybe change to view mail Last AMS Message – maybe change to Last Message Send Message - – maybe change to Send Mail. These are all in the left toolbar They must look different.

    $9 / hr (Avg Bid)
    $9 / hr Avg Bid
    14 bids

    Hi Mohammed Ibrahim, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Avg Bid
    1 bids

    Hi Mohammed Ibrahim, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Avg Bid
    1 bids

    I need to design gradient descent optimizer on FPGA in verilog language and code should be synthesizable. entire design should be pipelined. Input and outputs should be in single precision floating point representation. loss or cost function is mean square error loss for 2D variables, minimise the above cost functions to achieve the optimised value. I have developed gradient descent optImizer on python , below attached file is code of it. I want same implementation in verilog

    $143 (Avg Bid)
    $143 Avg Bid
    6 bids

    My project includes working on a verilog code for a stair case encoder. Below is the image of the architecture of the encoder and for each seperate block, i need codes for it. A full description of the project will be given to you in the form of a research paper. If you know how to write codes in verilog, kindly contact me. We can discuss more about the project as I have already done a small part of it and need help for the rest of the blocks. Price is negotiable. Thank you.

    $99 (Avg Bid)
    $99 Avg Bid
    3 bids

    Hi! I need in System Verilog this: A module that receives 16bits 1 bit for positive or negative number and 15 bits for number then the module is going to create the BCD for all the possibilities negotiable payment!

    $10 (Avg Bid)
    $10 Avg Bid
    1 bids

    Hoy I need in System Verilog this: A module that receives 16bits 1 bit for positive or negative number and 15 bits for number then the module is going to create the BCD for all the possibilities this is a project in SystemVerilog using Nexys4 negotiable payment!

    $10 (Avg Bid)
    $10 Avg Bid
    1 bids

    I need in System Verilog this: A module that receives 16bits 1 bit for positive or negative number and 15 bits for number then the module is going to create the BCD for all the possibilities this is a project in SystemVerilog using Nexys4

    $5 / hr (Avg Bid)
    $5 / hr Avg Bid
    1 bids

    I'm trying to solve 5*5 grid tic tac toe game using Verilog, i need help in developing the tic tac toe game for 5*5 grid

    $103 (Avg Bid)
    $103 Avg Bid
    3 bids

    Hi developers. I am looking for quick help for System Verilog code help. Please apply if you are expert in Verilog. Thanks.

    $20 (Avg Bid)
    $20 Avg Bid
    8 bids

    ...critical thinking and problem-solving skills Excellent verbal and written communication skills Strong analytics skills Ability to work independently Ability prioritize and manage your own schedule Bachelor’s degree in marketing or related field 3+ years of experience in marketing or related role ideally within a multi-channel retailer or agency Hands-on experience with Amazon Advertising (previously AMS), Google, Bing, Facebook, Instagram, TikTok, YouTube, Snapchat, etc. Experience working with direct response campaigns ...

    $50 / hr (Avg Bid)
    $50 / hr Avg Bid
    11 bids

    I have a localparamter declared in my SystemVerilog like this (y is another Parameter) : localparam x = y ? 4 : 1 , Then I have a RTL port which is something like this (where z is another parameter): input logic [x-1:0][((z+1)*8-1):0] port1, But I want to use 'y' directly in this port1 instead of x. Can I somehow use 'y' instead of x to dynamically allocate the value of it. It should be able to compile/elaborate. Should be quick

    $28 (Avg Bid)
    $28 Avg Bid
    4 bids